banner

Blog

Sep 07, 2023

Cavidades PhC de silicio policristalino para CMOS en

Scientific Reports volumen 12, Número de artículo: 17097 (2022) Citar este artículo

1287 Accesos

15 Altmetric

Detalles de métricas

En este trabajo, presentamos una solución de integración fotónica 2D y 3D en chip compatible con la integración Front End of Line (FEOL) que utiliza silicio policristalino depositado (poli:Si) para aplicaciones de interconexiones ópticas. La integración de silicio depositado en una oblea de silicio a granel se analiza aquí en todos sus pasos de procesamiento y configuraciones. Además, se muestran los resultados de los resonadores de cristal fotónico (PhC) de alto Q de silicio depositados, lo que demuestra la posibilidad de emplear resonadores ópticos modelados en este material en la próxima generación de interconexiones ópticas integradas 2D y 3D.

La necesidad de fotónica a nivel de CPU se está intensificando mucho en los últimos años. La reciente disminución en el tamaño de los transistores (hasta el equivalente del nodo de 2 nm − 333,33 MTr/mm21,2 y el nodo de 1 nm previsto en 20293), traducida en mayores densidades de transistores, ha llevado a un rápido crecimiento del número de transistores flotantes. operaciones puntuales (FLOP) que pueden realizar los procesadores, de 1 TFLOP en 2007 a 7,2 TFLOP en 2015 y a 96,8 TFLOP previstos en 2022. Además, para mantener la relación óptima de arquitectura de procesador de 1 byte/FLOP, la E/S del chip el ancho de banda necesita una escala continua de varios cientos de Tb/s1. Sin embargo, el área del chip está limitada a su tamaño actual debido al rendimiento y el costo de fabricación, lo que se manifiesta en un aumento muy lento en la cantidad de pines de señal, lo que limita en gran medida las capacidades de empaquetado del chip. De ello se deduce que las demandas de ancho de banda actuales solo pueden afrontarse aumentando el reloj fuera del chip a más de 65 GHz para 20294. Al mismo tiempo, la disipación de calor en el chip limita el consumo máximo de energía del chip a 300 W2, con la necesidad de reducir también el presupuesto de energía para fuera del chip. -comunicación de chip de miles a decenas de fJ/bit. Como consecuencia de estos requisitos de ancho de banda y energía, es necesario implementar interconexiones ópticas en el chip electrónico en lugar de los enlaces eléctricos existentes. Un enfoque de empaquetamiento óptico-electrónico tradicional implica la unión de chips de enlaces ópticos en la electrónica, que, sin embargo, todavía tiene un ancho de banda limitado por el tono de las E/S de señal flip-chip. Este enfoque manifiesta electricidad parásita que afecta el rendimiento de los componentes ópticos y electrónicos, además de imponer un cuello de botella de densidad de ancho de banda. Otro enfoque de integración prometedor consiste en la integración frontal monolítica de circuitos fotónicos de silicio, que implica la realización de los componentes ópticos y electrónicos en la misma capa SOI de silicio cristalino, en un solo chip5, que ofrece una integración muy compacta de fotónica y electrónica. , maximizando la densidad del ancho de banda y reduciendo los efectos parásitos. Sin embargo, además del costo más alto de SOI en comparación con las obleas de Si a granel, este enfoque dificulta gravemente el rendimiento de la electrónica ya que, en longitudes de onda de telecomunicaciones, el confinamiento óptico de baja pérdida en las guías de ondas fotónicas requiere al menos un óxido enterrado de 1 µm de espesor, mientras que los transistores SOI necesitan mucho más. óxido enterrado delgado (100 nm o menos) para disipación térmica y efectos electrostáticos. El óxido enterrado grueso significa que las longitudes de puerta del transistor deben ser mayores a 100 nm y la densidad del transistor disminuye6,7, lo que limita considerablemente el rendimiento y la escalabilidad de los procesadores. También se han dirigido algunos esfuerzos hacia la integración frontal de guías de ondas en sustratos de SOI delgado y Si8,9,10 a granel, pero estas técnicas siempre comprenden los pasos de fabricación que implican la modificación de la capa electrónica de silicio. Otro enfoque de integración, denominado integración back-end monolítica13, implica la realización de los componentes fotónicos en un plano diferente con respecto a la capa electrónica, lo que ofrece densidades de ancho de banda altas similares a los procesos front-end, pero con la posibilidad adicional de mantener la fabricación optimizada. de la capa de transistor sin cambios, a diferencia de los requisitos de integración frontal. La capa fotónica generalmente involucra silicio depositado en varias fases y formas, ya que el silicio cristalino estándar no se puede depositar con la tecnología CMOS estándar, sino que solo se forma a través del crecimiento epitaxial14 si ya hay una semilla cristalina presente, o se transfiere de una oblea c:Si donante a otra. oblea objetivo a través de la implantación de iones y la unión de obleas15,16, como en el caso de SOI. Los materiales de silicio depositados para la fotónica pueden adoptar la forma de nitruro de silicio (SiN), silicio amorfo (a:Si) y, más recientemente, silicio policristalino (poli:Si). A pesar de la optimización de las propiedades ópticas de estos materiales depositados, las plataformas SiN y a:Si exhiben intrínsecamente malas propiedades eléctricas (baja movilidad efectiva del portador) debido a su estructura atómica amorfa, a diferencia de lo que normalmente se requiere para la modulación electroóptica, la conmutación y fotodetección. Por el contrario, el poli:Si depositado, aún compatible con la integración frontal, se caracteriza por propiedades eléctricas similares al silicio monocristalino, lo que abre la posibilidad de emplear este material en componentes optoelectrónicos totalmente integrables y muy eficientes. Sin embargo, el poli:Si depositado normalmente exhibe una gran rugosidad superficial y numerosos límites de grano que afectan en gran medida el rendimiento óptico de los componentes fotónicos, principalmente debido a los mecanismos de dispersión. Además, casi todas las capas de poli:Si reportadas requirieron deposición, recocido y post-tratamiento a alta temperatura (típicamente T ≥ 900 °C), como se muestra en 17,18,19,20,21,22. Este rango de temperatura no es compatible con el procesamiento de fabricación final23, lo que lleva a la difusión del dopaje electrónico y, por lo tanto, no se puede usar para la integración vertical. En este trabajo, optimizamos la plataforma poli:Si depositada a través de recocido láser y procesos de planarización químico-mecánica para el desarrollo de resonadores PhC de alta calidad que se emplearán en interconexiones ópticas integradas en chip compatibles con FEOL (además de ser totalmente compatibles con la integración BEOL24). En este trabajo también creamos poli:Si suave en islas gruesas de SiO2 anidadas en una oblea de Si a granel. Las regiones poli:Si creadas en la oblea son adecuadas para fotónica sin comprometer la idoneidad del resto de la oblea para transistores de alto rendimiento. La mejora de la interacción luz-materia proporcionada por los cristales fotónicos permite crear fotónica de alto rendimiento que consume solo una pequeña fracción del área de la oblea.

El manuscrito se divide en las siguientes macrosecciones:

La optimización de la deposición de poli:Si, el recocido y la planarización de la superficie,

La integración de islas poli:Si depositadas en obleas de silicio a granel,

El desarrollo de resonadores PhC de alto Q en poli:Si.

El silicio depositado es una de las soluciones más importantes para la fotónica de silicio integrada en 3D. Sin embargo, el material tanto en forma amorfa como policristalina tiene malas cualidades ópticas debido a los mecanismos de absorción y dispersión de la rugosidad que se producen en los materiales depositados. Su empleo en aplicaciones de fotónica integrada requiere técnicas cuidadosas de tratamiento de materiales para reducir las pérdidas de material intrínsecas relacionadas con la absorción lineal debido a los enlaces colgantes de silicio en la forma amorfa y la dispersión de la luz debido a la rugosidad de la superficie y el límite de grano en la forma policristalina. En el caso de a:Si, las pérdidas se pueden reducir considerablemente mediante la implantación de hidrógeno, apagando la absorción en la longitud de onda de las telecomunicaciones de los enlaces colgantes de silicio mediante la formación de enlaces S-H25. Poly:Si, además, exhibe rendimientos ópticos deficientes relacionados principalmente con su naturaleza microcristalina, para la cual se forman muchos dominios cristalinos diferentes, granos (Fig. 1a), durante la cristalización del material amorfo depositado. Las propiedades ópticas similares al silicio monocristalino caracterizan el interior de estos granos, pero la diferente orientación de su plano cristalino de grano a grano forma límites físicos ásperos entre los granos que interrumpen la propagación de la luz a través de la dispersión. Estos límites de grano también son responsables de la alta rugosidad de la superficie del poli:Si sin tratar, que domina las pérdidas por propagación de la luz en las plataformas de poli:Si26. Por esta razón, se han desarrollado técnicas dedicadas compatibles con CMOS para optimizar la rugosidad del material y la distribución del tamaño de grano, como la planarización químico-mecánica (CMP) y el recocido por láser (27,28,29,30) respectivamente, que se utilizaron para preparar el poli Sustratos de :Si para las aplicaciones fotónicas discutidas en este trabajo.

(a) esquemas de arreglos atómicos en silicio monocristalino, amorfo y policristalino, (b) esquemas del proceso de recocido por láser, (c) esquemas del proceso CMP (vista superior) y (d) esquemas del proceso CMP (vista lateral) .

Los esquemas de los procesos de recocido por láser y CMP se muestran en la Fig. 1b, c y d respectivamente. En esta sección, se analiza en detalle la fabricación de los sustratos de poli:Si para los que se han diseñado las cavidades de PhC. La fabricación de los sustratos consistió en dos corridas diferentes realizadas en CEA-Leti (Grenoble, Francia), con la primera corrida enfocada en la optimización de los procesos CMP en obleas de 300 mm (primero depositadas con a:Si y luego recocidas en poli :Si—Fig. 2a), y el segundo se centró en la fabricación de los sustratos con poli:Si recocido con láser en islas de SiO2, anidadas en el silicio a granel (Fig. 2b), sobre las cuales se desarrollan los componentes fotónicos reales. Las islas de poli:Si tenían diferentes tamaños que oscilaban entre 10 y 1000 µm2, por lo que podían acomodar una o varias cavidades de cristal fotónico para ser empleadas como espejos selectivos de longitud de onda en láseres híbridos de cavidad externa (HECL) en configuración de acoplamiento vertical31,32. Dado que el rendimiento óptico de poli:Si depende en gran medida de la rugosidad de la superficie, que domina las pérdidas por dispersión, el proceso de CMP tuvo que optimizarse para lograr la mayor suavidad de la superficie, idealmente en el rango sub-nm, para que los componentes fotónicos pudieran tener Factores Q medidos al menos en el rango de 103 (por ejemplo, valores mínimos requeridos para obtener un láser basado en PhC monomodo, como se ve en 33). La fabricación y optimización del sustrato para aplicaciones ópticas comenzó con la deposición de 2,1 µm de SiO2 mediante deposición física de vapor mejorada con plasma (PECVD) en obleas de silicio de 300 mm. Posteriormente, se ha depositado una capa de silicio amorfo de 450 nm de espesor sobre las obleas a través de PECVD de baja temperatura (\(T=350\,^\circ \mathrm{C}\)). El grosor de la capa de a:Si depositada fue superior al objetivo final de 220 nm, ya que el proceso CMP elimina una buena parte del material mientras se pule la oblea.

Esquemas de los sustratos de poli:Si en las dos configuraciones: (a) poli:Si recocido térmicamente depositado en PECVD SiO2 para la optimización del proceso CMP y (b) poli:Si en islas de SiO2 anidadas en una oblea de silicio a granel para la fabricación de poli :Si resonadores ópticos.

Las obleas de a:Si depositadas en la primera ejecución se recocieron térmicamente para formar poli:Si y se usaron para la optimización del proceso de CMP, mientras que las islas de a:Si en SiO2 depositadas en la segunda ejecución se trataron con recocido láser pulsado manteniendo el obleas a \(T=450\,^\circ \mathrm{C}\) para promover el crecimiento de granos de poli:Si en Leti. El poli:Si obtenido por estos pasos de recocido con láser exhibió tamaños de grano en el rango de decenas a cientos de µm2. El crecimiento de los tamaños de grano del poli:Si después del recocido con láser se desprende de las imágenes SEM de la Fig. 3. Esta distribución de tamaño de grano es deseable ya que las cavidades completas de PhC pueden estar contenidas en un solo grano, evitando así la interacción óptica con múltiples límites de grano. dentro del PhC que aumentaría las pérdidas por dispersión.

Imágenes SEM del sustrato poli:Si: (a) antes del recocido láser (a:Si), (b) después de 4 disparos láser con una energía de 700 mJ y (c) después de 4 disparos láser con una energía de 1100 mJ, resaltando la modificación de la superficie poli:Si debido al crecimiento de grano relacionado con el recocido láser.

Las obleas de 300 mm pulidas a través de CMP en la primera ejecución exhibieron una rugosidad superficial promedio de \(\{{R}_{a}=0.101 \mathrm{nm}, {R}_{q}=0.049 \mathrm{nm} \}\) con buena uniformidad superficial (variación centro-borde \(<\hspace{0.17em}\)15 nm), y el espesor final de la capa de poli:Si obtenido estuvo en el rango de \(250\pm 30\) Nuevo Méjico.

El flujo de trabajo de fabricación para las islas de poli:Si (configuración en la Fig. 2b) es más complejo que el de los sustratos de la Fig. 2a, ya que se requiere un grabado selectivo del área y una deposición de material. Los componentes fotónicos finales (cavidades DA y L3 PhC) se modelaron en las islas de poli:Si y se midieron. El flujo de trabajo completo del proceso de fabricación de las cavidades de PhC con diseño de poli:Si en islas de SiO2 se representa en los esquemas de la Fig. 4.

Flujo de trabajo de fabricación del poli:Si en islas de SiO2 anidadas en obleas de Si a granel: (a) se deposita una máscara dura de Si3N4 y se utiliza un paso litográfico UV profundo para exponer rectángulos que se utilizarán como islas, (b) grabado con plasma de la máscara dura y el Si a granel, (c) PECVD de SiO2, (d) planarización del SiO2, (e) PECVD a baja temperatura del a:Si, (f) recocido láser en poli:Si, (g) CMP del poli:Si, (h) litografía por haz de electrones de las cavidades de PhC y (i) su grabado en seco.

A partir de una oblea de silicio a granel de 300 mm, se deposita una máscara dura de Si3N4 de 60 nm de espesor a través de la deposición química de vapor mejorada con plasma (PECVD) y se recubre con una capa de 1 µm de fotoprotector S1813. Se realiza un paso litográfico UV profundo para exponer áreas rectangulares de diferentes tamaños que definirán las islas (Fig. 4a). Un grabado de iones reactivos dobles (RIE) es el primer paso en la química de SF6:CHF3 para la máscara de Si3N4 y el Si a granel para lograr una profundidad de grabado superior a 1 µm (Fig. 4b) en la que se deposita una capa de 1,4 µm de espesor sobre SiO2 a través de PECVD ( Figura 4c). Luego se sigue la planarización del SiO2 a través de CMP de óxido estándar (Fig. 4d) para lograr zanjas planas llenas de óxido que se llenarán con el silicio depositado. Se usa un paso de PECVD a baja temperatura (T = 350 °C) para depositar 450 nm de a:Si (Fig. 4e), que luego se recoce en poli:Si a través de una fuente de láser excimer pulsado mientras se mantiene el sustrato en \(T =450\,^\circ \mathrm{C}\) para promover el crecimiento del grano hasta decenas de µm2 (Fig. 4f). A continuación, se realiza el proceso CMP de poli:Si recientemente desarrollado para nivelar y pulir las islas de poli:Si hasta el espesor objetivo de \(260\pm 40\) nm y una rugosidad superficial sub-nm (Fig. 4g). Después de la inspección de los sustratos a través de AFM y SEM para verificar la uniformidad de la superficie y el espesor final de poli:Si, se revistió por rotación una capa de 500 nm de espesor de resistencia ZEP 520A sobre la oblea y se expusieron las cavidades de PhC diseñadas específicamente (Fig. 4h) mediante litografía por haz de electrones (EBL) con un sistema de 100 kV (Elionix ELX100), asegurándose de alinear los componentes fotónicos con las islas de poli:Si. Finalmente, los patrones se transfirieron al poli: Si a través del grabado con plasma acoplado inductivamente (ICP) en química N2: Cl2 (Fig. 4i). Las propiedades finales de la capa de poli:Si y la duración del proceso de CMP se detallan en la Tabla 1.

El proceso CMP P1: VP5000/FSL1531 y el proceso CMP P3: IK2010H/PL6116 representan pasos posteriores de planarización químico-mecánica con diferentes parámetros: presión del mandril de la oblea, velocidad de la almohadilla de pulido, acondicionamiento.

La deposición de SiO2 en las zanjas de Si a granel y el posterior a:Si depositado y recocido en poli:Si se muestra en las imágenes SEM de la Fig. 5.

Imágenes SEM de: (a) SiO2 depositado en las zanjas de Si a granel (vista superior), (b) SiO2 depositado (sección transversal), (c) a:Si depositado (vista lateral) y (d) recocido a:Si en poli :Si (sección transversal).

La vista superior y transversal de la sílice depositada se muestra en la Fig. 5a y b respectivamente, mientras que el a:Si depositado se muestra en una vista lateral en la Fig. 5c y el a:Si recocido en poli:Si se muestra en la Fig. .5d, justo antes de los pasos de CMP. Es de gran importancia que el espesor de SiO2 sea superior a 1 µm, requerido para confinar bien los modos ópticos de las cavidades de PhC en la capa fotónica de poli:Si, evitando el acoplamiento evanescente con el Si a granel.

Las cavidades de PhC (diseños DA y L3) fabricadas en las islas de poli:Si pulidas se muestran en cambio en las imágenes SEM de la Fig. 6. Las islas de poli:Si en SiO2 son visibles en la Fig. 6a, como un tono de gris diferente en comparación con al Si a granel (resaltado con líneas discontinuas blancas), mientras que los conjuntos de cavidades de PhC se indican con flechas discontinuas rosas. Los grandes aumentos de una cavidad PhC en uno de esos conjuntos se muestran en las figuras 6b y c, mientras que la figura 6d muestra la imagen de la microcavidad en un ángulo de \(45^\circ\).

Imágenes SEM de las cavidades de PhC modeladas en las islas de poli:Si depositadas con diferentes aumentos: (a) 28x, las PhC están resaltadas por elipses rosas y flechas discontinuas y las islas de poli:Si están delimitadas por líneas discontinuas blancas, (b ) Cavidad de PhC L3 optimizada para campo lejano a 9740x, (c) la misma cavidad a 25000x, con mediciones SEM del radio del orificio y la periodicidad de PhC y (d) imagen de la cavidad de PhC a 45°.

La siguiente sección discutirá la optimización numérica de las cavidades de poli:Si y sus desempeños ópticos medidos experimentalmente.

Las cavidades de PhC fabricadas en las islas de poli:Si se han simulado y optimizado para el rango final de espesor de capa de poli:Si de 240 a 270 nm (obtenido después del procesamiento CMP) a través del método de dominio de tiempo de diferencia finita (FDTD) con Ansys –Software Lumérico. Los parámetros del diámetro del orificio (d) y el período de la red (a) del diseño de la cavidad del cristal fotónico 2D se han optimizado para los valores de espesor de 240, 250, 260, 270 y 280 nm, a fin de tener diseños con alto rendimiento óptico que abarque todos los rango de espesor de capa experimental. Los diseños de cavidad DA y L3 2D PhC han sido elegidos para las simulaciones numéricas. El desplazamiento de los orificios de la cavidad central de los dispositivos fabricados se optimizó para lograr un factor Q relativamente alto mientras se mantiene una buena parte del acoplamiento de luz dentro y fuera de la cavidad del PhC a lo largo de su dirección normal, como en la optimización de campo lejano investigada en22. A pesar de reducir intrínsecamente sus factores Q, se requiere la optimización de campo lejano para estas cavidades con el fin de medir su respuesta sin necesidad de guías de ondas conectadas a ellas.

Para simular con precisión el material de poli-Si fabricado, las constantes ópticas de refracción y absorción n y k (índice de refracción y coeficiente de extinción, respectivamente) de las obleas de poli:Si pulidas se midieron mediante elipsometría óptica (elipsómetro JA Wollam) en un una amplia gama de longitudes de onda, de 600 a 1600 nm, y los valores medidos se han importado al archivo de materiales de Lumerical para tener en cuenta las pérdidas por absorción en los cálculos. Los datos de elipsometría medidos del poli:Si se muestran en la Fig. 7, en el rango de interés (de 1500 a 1600 nm).

Gráfico de las partes del índice de refracción real (n) e imaginario (k) de una oblea de poli: Si pulida frente a la longitud de onda, medida con el elipsómetro y ajustada con un modelo de Cauchy.

Debido a la red hexagonal PhC, todas las simulaciones de Lumerical se han realizado con un tamaño de malla de \(dx=a/25\) y \(dy=a\left(\sqrt{3}/2\right)/25\) y \(dz=t/10\), donde \(t\) representa el grosor de poli:Si, para tener un número entero de celdas a lo largo de cada eje.

Los modos ópticos calculados confinados en una cavidad poli:Si DA de 270 nm de espesor se muestran en la Fig. 8, en la que se traza un mapa de colores de la intensidad del campo eléctrico de los modos de la cavidad en el plano xy. En los diferentes paneles de la figura, el modo fundamental (Modo 1) exhibe la forma típica con un solo pico de intensidad y sin lóbulo, mientras que los modos de orden superior (Modos 2, 3 y 4) muestran un número creciente de picos dependiendo de su orden creciente de modos. Los modos 1, 2, 3 y 4 exhibieron factores Q simulados de 5,2·106 a 1578,35 nm, 3,1·105 a 1559,63 nm, 1,7·104 a 1568,90 nm y 6,1·103 a 1530,41 nm.

Gráfico de intensidad de campo eléctrico en el plano xy de los primeros cuatro modos ópticos confinados en una cavidad poli:Si DA PhC de 270 nm de espesor. (a) Modo 1 (el modo fundamental) y modos de orden superior (b) Modo 2, (c) Modo 3 y (d) Modo 4.

Las simulaciones se repitieron para cavidades PhC de tipo L3 para los diferentes espesores de silicio depositado y la Fig. 9 muestra los primeros modos ópticos confinados en una cavidad de este tipo con un espesor de 270 nm. El modo fundamental (Modo 1) tiene un factor Q calculado de 5,2·105 a 1538,08 nm, mientras que el modo de orden superior (Modo 2) tiene un factor Q calculado de 4,1·104 a 1539,43 nm.

Gráfico de intensidad de campo eléctrico en el plano xy de los primeros cuatro modos ópticos confinados en una cavidad poli:Si L3 PhC de 270 nm de espesor. (a) Modo 1 (el modo fundamental) y (b) modo de orden superior (Modo 2).

Las cavidades de campo lejano adaptadas a la dispersión (DA)34 y L3 PhC modeladas en el poli:Si pulido en las islas de SiO2 anidadas en las obleas de Si a granel mediante EBL y grabado en seco se han caracterizado ópticamente mediante la técnica de dispersión resonante35 y los resultados son resumido en la Fig. 10.

(a) Espectro óptico de un PhC L3 medido a través de la técnica de dispersión resonante, (b) Espectro del modo fundamental medido de (a) en el que la curva roja representa un ajuste lorentziano de la resonancia, (c) Factores Q medidos de L3 cavidades con constante de red que aumenta con un paso de 2 nm, ( d ) Longitud de onda de resonancia de los modos ópticos de la cavidad L3 PhC versus constante de red, en la que diferentes colores representan diferentes resonancias medidas.

La figura 9a muestra el espectro óptico medido de una cavidad L3 PhC modelada en una isla poli:Si pulida de 270 nm de espesor, en la que los modos ópticos confinados se manifiestan como picos de intensidad que se disparan fuera de la señal de referencia de origen como se esperaba con la técnica de dispersión resonante. Un primer plano del modo fundamental medido se muestra en la Fig. 9b y se ajusta con una curva Lorentziana con un FWHM de 0,216 nm y una longitud de onda central máxima de 1548,31 nm, lo que conduce a un factor Q medido de 7141. El gráfico de Los factores Q del mismo diseño de cavidad L3 PhC con una constante de red creciente (\(a\)) se muestran en la Fig. 9c, en la que la constante de red aumenta con un paso de 2 nm. Todos los factores Q medidos caen en el rango \({10}^{3}-{10}^{4}\) En la Fig. 9d, las longitudes de onda de resonancia medidas de los diferentes modos de cavidad del diseño de cavidad L3 PhC son frente al aumento de la constante de red (parámetro barrido con un incremento de 2 nm en los dispositivos fabricados), mostrando un comportamiento muy lineal para todos los modos ópticos medidos y dando lugar a la posibilidad de un control bastante preciso de la longitud de onda de resonancia a través de la sintonización litográfica.

Estos resultados demuestran la posibilidad de tener resonadores ópticos de calidad relativamente alta modelados en una isla integrada 3D de poli:Si en SiO2 incrustados en obleas de Si a granel para las interconexiones ópticas de próxima generación. El resonador de cristal fotónico ubicado en la isla de silicio se puede conectar a otros componentes a través de una posición de capa de guía de ondas verticalmente arriba. Se ha demostrado una variedad de componentes en configuración acoplada verticalmente, como fotodetectores36, moduladores37 y láseres32. Tal configuración es ideal para esta aplicación ya que las guías de ondas de interconexión no reducen el área disponible para los transistores.

Los pasos de procesamiento utilizados en este trabajo son todos procesos estándar en CMOS (p. ej., el aislamiento de zanjas poco profundas puede proporcionar la capa de SiO2). Tras la fabricación de islas de polisilicio, los procesos CMOS se pueden utilizar para fabricar componentes electrónicos y las capas posteriores. Nuestro enfoque es totalmente compatible con CMOS, ya que los pasos posteriores de CMOS no tendrán efecto en las "islas fotónicas". Por lo tanto, este enfoque proporciona una integración frontal de la electrónica y la fotónica con una interrupción mínima del flujo del proceso.

En este trabajo se presenta el desarrollo de un proceso de fabricación para la optimización de las prestaciones ópticas de poli:Si depositado mediante planarización químico-mecánica y recocido láser, consiguiendo valores de rugosidad superficial en la escala subnanométrica. La fabricación de poli:Si delgado en islas de SiO2 de 2 µm de espesor se logra en obleas de Si a granel, que podrían funcionar como capas fotónicas integradas en la capa electrónica de Si a granel en arquitecturas integradas fotónico-electrónicas. Además, se han desarrollado resonadores ópticos de Q relativamente alto en forma de cavidades PhC 2D (diseños DA y L3) para tales islas de poli:Si y se han medido sus rendimientos ópticos, con valores de factor Q muy compatibles con los requisitos de operación. como espejos resonantes selectivos de longitud de onda en láseres de cavidad externa. Esto abre la posibilidad de emplear resonadores ópticos poli:Si depositados en nuevos componentes fotónico-electrónicos integrados en 3D para las interconexiones ópticas de próxima generación.

Los conjuntos de datos utilizados y/o analizados durante el estudio actual están disponibles del autor correspondiente a pedido razonable. Los autores declaran no tener conflicto de intereses.

Hoja de ruta internacional para dispositivos y sistemas Edición 2017–More Moore, ITRS (2019).

Cutress, I. IBM crea el primer chip de 2nm. www.anandtech.com (recuperado el 6 de mayo de 2021).

Samavedam, SB, Ryckaert, J., Beyne, E., Ronse, K., Horiguchi, N., Tokei, Z., Radu, I., Bardon, MG, Na, MH, Spessot, A., Biesemans, S Escalamiento lógico futuro: hacia canales atómicos y chips deconstruidos 1.1.1–1.1.10 IEEE Int. Encuentro de dispositivos electrónicos. (IEDM), (2020).

Hoja de ruta internacional para dispositivos y sistemas 2014 Edition–2.0 FT Whitepaper, System Integration R1, ITRS (2014)

Narasimha, A. et al. Un transceptor optoelectrónico DWDM de 4 x 10 Gb/s totalmente integrado implementado en una tecnología CMOS SOI estándar de 0,13 µm. IEEE J. Circuitos de estado sólido 42(12), 2736–2744 (2007).

Artículo ANUNCIOS Google Académico

Puers, R., Baldi, L., Van de Voorde, M. y Van Nooten, SE Nanoelectrónica: materiales, dispositivos, aplicaciones 1.ª ed. (Wiley-VCH, 2017).

Google Académico

Priya, A., Srivastava, NA y Mishra, R. "Perspectiva de la variación del espesor del óxido enterrado en un MOSFET de puerta de metal triple (TMG) empotrado-S/D FD-SOI". Estera. ciencia Adv. eléctrico eléctrico Ing. 16, 380–387 (2018).

Google Académico

Holzwarth, CW, Orcutt, JS, Li, H., Popovic, MA, Stojanovic, V., Hoyt, JL, Ram, RJ & Smith, HI Técnica de eliminación de sustrato localizada que permite la microfotónica de confinamiento fuerte en procesos CMOS de Si a granel. En: Conferencia sobre láseres y electro-óptica, compendio técnico de OSA, sociedad óptica de América (2008).

Orcutt, JS et al. Integración nanofotónica en fundiciones CMOS de última generación. Optar. Expreso 19, 2335–2346 (2011).

Artículo ADS CAS Google Académico

Mehta, KK et al. Dispositivos de microcavidad de cristal fotónico integrado CMOS de alto Q. ciencia Rep. 4, 4077 (2014).

Artículo ANUNCIOS Google Académico

Sherwood-Droz, N., Gondarenko, A. & Lipson, M. Silicio sobre aislante oxidado (OxSOI) a partir de silicio a granel: una nueva plataforma fotónica. Optar. Expreso 18, 5785–5790 (2010).

Artículo ADS CAS Google Académico

Sridaran, S. & Bhave, SA Dispositivos nanofotónicos en sustratos delgados de óxido de silicio sobre aislante enterrados. Optar. Expreso 18, 3850–3857 (2010).

Artículo ADS CAS Google Académico

Young, IA et al. Tecnología de E/S óptica para computación a escala tera. IEEE J. Circuitos de estado sólido 45(1), 235–248 (2010).

Artículo ANUNCIOS Google Académico

Pae, S., Su, T., Denton, J. & Neudeck, G. Múltiples capas de fabricación de islas de silicio sobre aislante por crecimiento epitaxial selectivo. Dispositivo de electrones IEEE Lett. 20, 194–196 (1999).

Artículo ADS CAS Google Académico

Ogura, A. Método de fabricación de sustrato SOI.1 Patente de EE. UU. 5.888.297, Fecha de publicación: 30 de marzo (1999).

Bajor G et al. Uso de un proceso térmico rápido para la fabricación de un semiconductor SOI unido a una oblea. Patente de EE. UU. 4.771.016 Fecha de emisión: 13 de septiembre (1988)

Preston, K., Schmidt, B. & Lipson, M. Resonadores fotónicos de polisilicio para la integración 3D a gran escala de redes ópticas. Óptico. Expresar. 15, 17283–17290 (2008).

Artículo ANUNCIOS Google Académico

Biberman, A. et al. Arquitecturas fotónicas de red en chip que utilizan materiales de silicio depositados multicapa para multiprocesadores de chips de alto rendimiento. ACM J. Emerg. Cómputo tecnológico. sist. 7, 1–25 (2011).

Artículo Google Académico

Foresi, JS et al. Pérdidas en guías de ondas de silicio policristalino. aplicación física Letón. 68, 2052 (1996).

Artículo ADS CAS Google Académico

Liao, Ling et al. Pérdidas de transmisión óptica en guías de onda de tira de silicio policristalino: efectos de las dimensiones de la guía de onda, tratamiento térmico, pasivación de hidrógeno y longitud de onda. J. Electr. Estera. 29, 1380–1386 (2001).

Artículo ANUNCIOS Google Académico

Orcutt, JS et al. Guías de ondas de polisilicio de baja pérdida fabricadas en un proceso electrónico emulado de alto volumen. Óptico. Express 20, 7243–7254 (2012).

Artículo ADS CAS Google Académico

Zhu, S. et al. Pérdidas de propagación en guías de ondas de alambre de silicio policristalino no dopado y no dopado. Óptico. Expresar. 17, 20891–20899 (2009).

Artículo ADS CAS Google Académico

Kuyken, B. et al. Propiedades no lineales y procesamiento no lineal en guías de ondas de silicio amorfo hidrogenado. Óptico. Expresar. 19, B146–B153 (2011).

Artículo CAS Google Académico

Kaneko, K., Inoue, N., Saito, S., Furutake N. & Hayashi, Y. En: Un nuevo transistor BEOL (BETr) con InGaZnO integrado en interconexiones de Cu para E/S de alto voltaje en chip en estándar Simposio CMOS LSI 120–121 sobre tecnología VLSI, (2011)

Tong, Q.-Y. & Gösele, U. Unión de obleas de semiconductores: ciencia y tecnología (Wiley-Interscience, 1998).

Google Académico

Foresi, JS, Black, MR, Agarwal, AM & Kimerling, LC Pérdidas en guías de onda de silicio policristalino. aplicación física Letón. 68, 2052 (1996).

Artículo ADS CAS Google Académico

Martínez-Jiménez, G. et al. Microestructuras fotónicas producidas por grabado selectivo de silicio amorfo cristalizado con láser. Optar. Mate. Express 9, 2573–2581 (2019).

Artículo ADS CAS Google Académico

Aktas, O. et al. Propiedades no lineales de guías de ondas de silicio policristalino procesadas con láser para fotónica integrada. Optar. Expreso 28, 29192–29201 (2020).

Artículo ADS CAS Google Académico

Godbole, VP & Chaudari, SM Recocido láser de silicio. bulbo Mate. ciencia 11, 97–108 (1988).

Artículo CAS Google Académico

Gluschenkov, O. & Jagannathan, H. Recocido láser en la fabricación de CMOS. ECS trans. 85, 11 (2018).

Artículo CAS Google Académico

Liles, AA, Debnath, K. & O'Faolain, L. Control de longitud de onda litográfica de un láser de cavidad externa con un reflector resonante basado en cavidad de cristal fotónico de silicio. Optar. Letón. 41, 894–897 (2016).

Artículo ADS CAS Google Académico

Iadanza, S., Devarapu, C., Liles, A., Sheehan, R. & O'Faoláin, L. Láser de cavidad externa híbrido con un espejo de cavidad de cristal fotónico basado en silicio amorfo. aplicación ciencia 10, 240 (2020).

Artículo CAS Google Académico

Iadanza, S. et al. Láser de cavidad híbrido térmicamente estable basado en rejillas de nitruro de silicio. aplicación Optar. 57, E218–E223 (2018).

Artículo ADS CAS Google Académico

Welna, K., Portalupi, SL, Galli, M., O'Faolain, L. y Krauss, TF Nueva cavidad de cristal fotónico adaptada a la dispersión con estabilidad de desorden mejorada. IEEE J. Quantum Electr. 48(9), 1177–1183 (2012).

Artículo ADS CAS Google Académico

Portalupi, SL et al. Cavidades planas de cristal fotónico con optimización de campo lejano para alta eficiencia de acoplamiento y factor de calidad. Optar. Expreso 18, 16064–16073 (2010).

Artículo ADS CAS Google Académico

Debnath, K. et al. Guía de ondas dieléctrica acoplada verticalmente a fotodiodos totalmente de silicio que funcionan en longitudes de onda de telecomunicaciones. aplicación física Letón. 102, 171106 (2013).

Artículo ANUNCIOS Google Académico

Debnath, K. et al. Arquitectura de modulador en cascada para aplicaciones WDM. Optar. Express 20, 27420–27428 (2012).

Artículo ANUNCIOS Google Académico

Descargar referencias

Los autores agradecen a la Red Europea de Nanoelectrónica (Ascent 104), EU ERC-StG 337508 DANCER, Science Foundation Ireland (SFI) (16/ERCS/3838, SFI12/RC/2276).

Instituto Nacional Tyndall, Lee Maltings, Dyke Parade, Cork, Irlanda

S. Iadanza, GCR Devarapu, A. Blake y L. O'Faolain

Universidad Tecnológica de Munster, Rossa Avenue, Bishopstown, Cork, Irlanda

S. Iadanza, GCR Devarapu y L. O'Faolain

Universidad de Grenoble Alpes, CEA, LETI, 38000, Grenoble, Francia

P. Acosta Dawn & J.-M. Pedini

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

SI escribió el texto del manuscrito, diseñó, fabricó y midió las microcavidades de poli:Si, diseñó la arquitectura de la isla de poli:Si y administró el proyecto con L.O'FCD proporcionó el guión para la extracción de gds de microcavidades de poli:Si. PAA fue responsable del recocido láser y la ingeniería de tamaño de grano del poli:Si y JM.P. de los SEM de sección transversal del poli:Si en islas de SiO2 después de CMP. AB proporcionó información sobre los pasos FEOL y BEOL de la fabricación de CMOS. LOF supervisó el proyecto y proporcionó su conceptualización. LOF y SI adquirió financiación. Todos los autores revisaron el manuscrito.

Correspondencia a S. Iadanza.

Los autores declaran no tener conflictos de intereses.

Springer Nature se mantiene neutral con respecto a los reclamos jurisdiccionales en mapas publicados y afiliaciones institucionales.

Acceso abierto Este artículo tiene una licencia internacional Creative Commons Attribution 4.0, que permite el uso, el intercambio, la adaptación, la distribución y la reproducción en cualquier medio o formato, siempre que se otorgue el crédito correspondiente al autor o autores originales y a la fuente. proporcionar un enlace a la licencia Creative Commons e indicar si se realizaron cambios. Las imágenes u otro material de terceros en este artículo están incluidos en la licencia Creative Commons del artículo, a menos que se indique lo contrario en una línea de crédito al material. Si el material no está incluido en la licencia Creative Commons del artículo y su uso previsto no está permitido por la regulación legal o excede el uso permitido, deberá obtener el permiso directamente del titular de los derechos de autor. Para ver una copia de esta licencia, visite http://creativecommons.org/licenses/by/4.0/.

Reimpresiones y permisos

Iadanza, S., Devarapu, GCR, Blake, A. et al. Cavidades PhC de silicio policristalino para integración CMOS en chip. Informe científico 12, 17097 (2022). https://doi.org/10.1038/s41598-022-21578-6

Descargar cita

Recibido: 09 febrero 2022

Aceptado: 29 de septiembre de 2022

Publicado: 12 de octubre de 2022

DOI: https://doi.org/10.1038/s41598-022-21578-6

Cualquier persona con la que compartas el siguiente enlace podrá leer este contenido:

Lo sentimos, un enlace para compartir no está disponible actualmente para este artículo.

Proporcionado por la iniciativa de intercambio de contenido Springer Nature SharedIt

Al enviar un comentario, acepta cumplir con nuestros Términos y Pautas de la comunidad. Si encuentra algo abusivo o que no cumple con nuestros términos o pautas, márquelo como inapropiado.

COMPARTIR